Recherche - Université de Toulon Accéder directement au contenu

Filtrer vos résultats

38 résultats
Image document

Towards high buffer breakdown field and high temperature stability AlGaN channel HEMTs on silicon substrate

Jash Mehta , Idriss Abid , Julien Bassaler , Julien Pernot , Philippe Ferrandis , et al.
Compound Semiconductor Week, CSW 2022, Jun 2022, Ann Arbor, MI, United States. 2 p
Communication dans un congrès hal-03828829v1
Image document

Following In Situ the Degradation of Mesoporous Silica in Bio-relevant Conditions: at Last, a Good Comprehension of the Structure Influence

Elisa Bindini , Zeinab Chehadi , Marco Faustini , Pierre-Antoine Albouy , David Grosso , et al.
ACS Applied Materials & Interfaces, 2020, 12 (12), pp.13598-13612. ⟨10.1021/acsami.9b19956⟩
Article dans une revue hal-03002205v1
Image document

Experimental demonstration of Single-Level and Multi-Level-Cell RRAM-based In-Memory computing with up to 16 parallel operations

Eduardo Esmanhotto , T. Hirtzlin , N. Castellani , S. Martin , B. Giraud , et al.
IRPS 2022 - IEEE International Reliability Physics Symposium, Mar 2022, Dallas, United States. pp.P8-1-P8-4, ⟨10.1109/IRPS48227.2022.9764474⟩
Communication dans un congrès hal-04442653v1

Etude par faisceaux d’ions de la sorption en hydrogène de matériaux getter à base d’yttrium

Charlotte Kutyla , Clément Bessouet , Sylvain Lemettre , Philippe Coste , Alain Bosseboeuf , et al.
Matériaux 2022, Oct 2022, Lille, France
Communication dans un congrès hal-04505146v1
Image document

Energy-Efficient Bayesian Inference Using Near-Memory Computation with Memristors

C. Turck , K.-E. Harabi , T. Hirtzlin , E. Vianello , R. Laurent , et al.
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), Apr 2023, Antwerp, Belgium. pp.1-2, ⟨10.23919/DATE56975.2023.10137312⟩
Communication dans un congrès hal-04270563v1

A Multimode Hybrid Memristor-CMOS Prototyping Platform Supporting Digital and Analog Projects

Kamel-Eddine Harabi , C. Turck , Marie Drouhin , A. Renaudineau , T. Bersani-Veroni , et al.
2023 28th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 2023, Tokyo (Japan), France. pp.184-185, ⟨10.1145/3566097.3567944⟩
Communication dans un congrès hal-04270420v1
Image document

Oxygen and hydrogen sorption in thermally activated yttrium-based getter thin films for MEMS vacuum packaging

Charlotte Kutyla , Sylvain Lemettre , Clément Bessouet , Alain Bosseboeuf , Philippe Coste , et al.
2022 Symposium on Design, Test, Integration and Packaging of MEMS/MOEMS (DTIP), 2022, pp.1-4. ⟨10.1109/DTIP56576.2022.9911710⟩
Article dans une revue hal-03836081v1
Image document

Implementation of Ternary Weights With Resistive RAM Using a Single Sense Operation per Synapse

Axel Laborieux , Marc Bocquet , Hirtzlin Tifenn , Jacques-Olivier Klein , Etienne Nowak , et al.
IEEE Transactions on Circuits and Systems I: Regular Papers, 2020, pp.1-10. ⟨10.1109/TCSI.2020.3031627⟩
Article dans une revue hal-02983778v1
Image document

Powering AI at the edge: A robust, memristor-based binarized neural network with near-memory computing and miniaturized solar cell

Fadi Jebali , Atreya Majumdar , Clément Turck , Kamel-Eddine Harabi , Mathieu-Coumba Faye , et al.
Nature Communications, 2024, 15 (1), pp.741. ⟨10.1038/s41467-024-44766-6⟩
Article dans une revue hal-04442577v1
Image document

Embedded measurement of the SET switching time of RRAM memory cells

F. Jebali , E. Muhr , M. Alayan , M.C. Faye , D. Querlioz , et al.
34 TH INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS), Mar 2022, Online, France. pp.1-5, ⟨10.1109/ICMTS50340.2022.9898162⟩
Communication dans un congrès hal-03971049v1
Image document

Experimental Demonstration of Memristor Delay-Based Logic In-Memory Ternary Neural Network

A. Renaudineau , K.-E. Harabi , C. Turck , A. Laborieux , E. Vianello , et al.
2023 Silicon Nanoelectronics Workshop (SNW), Jun 2023, Kyoto, Japan. pp.43-44, ⟨10.23919/SNW57900.2023.10183957⟩
Communication dans un congrès hal-04270396v1
Image document

CAPC: A Configurable Analog Pop-Count Circuit for Near-Memory Binary Neural Networks

F. Jebali , A. Majumdar , A. Laborieux , T. Hirtzlin , E. Vianello , et al.
2021 IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Aug 2021, Lansing, France. pp.158-161, ⟨10.1109/MWSCAS47672.2021.9531919⟩
Communication dans un congrès hal-03624922v1
Image document

Low Power In-Memory Implementation of Ternary Neural Networks with Resistive RAM-Based Synapse

Axel Laborieux , Marc Bocquet , Tifenn Hirtzlin , Jacques-Olivier Klein , L Herrera Diez , et al.
2nd IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2020, Genova (virtual), Italy. ⟨10.1109/AICAS48895.2020.9073877⟩
Communication dans un congrès hal-02403984v1
Image document

Comparing Fractional Quantum Hall Laughlin and Jain Topological Orders with the Anyon Collider

Mélanie Ruelle , Elric Frigerio , Jean-Marc Berroir , Bernard Plaçais , Jérôme Rech , et al.
Physical Review X, 2023, 13 (1), pp.011031. ⟨10.1103/PhysRevX.13.011031⟩
Article dans une revue hal-04028619v2

Etudes de réactions de photopolymérisation en surface de cristaux ioniques isolants par nc-AFM sous UHV

Elie Geagea , Sylvain Clair , Laurent Nony , Frédéric Cherioux , Christian Loppacher
Forum des Microscopies à Sonde Locale, Apr 2023, OBERNAI, France
Communication dans un congrès hal-04233165v1

Activation of getter films for MEMS packaging studied by in situ NRA

Clément Bessouet , Charaf-Eddine Bejjit , Charlotte Kutyla , Sylvain Lemettre , Alain Bosseboeuf , et al.
22nd International Vacuum Congress, Sep 2022, Sapporo, Japan
Communication dans un congrès hal-03836025v1

A Simple Process for the Fabrication of Thermoelectric Silicon and Manganese Silicide Phases by Thin Film Solid Phase Reaction (SPR) of Mn/Si (100)

Rachid Zirmi , Belkacem Zouak , Federico Panciera , Marie Christine Record , Hakim Achour
Journal of Electronic Materials, 2021, 50 (11), pp.6196-6205. ⟨10.1007/s11664-021-09146-6⟩
Article dans une revue hal-04046618v1
Image document

High-Density 3D Monolithically Integrated Multiple 1T1R Multi-Level-Cell for Neural Networks

E. Esmanhotto , L. Brunet , N. Castellani , D. Bonnet , T. Dalgaty , et al.
2020 IEEE International Electron Devices Meeting (IEDM), Dec 2020, San Francisco (virtual), United States. pp.36.5.1-36.5.4, ⟨10.1109/IEDM13553.2020.9372019⟩
Communication dans un congrès hal-03218937v1

Understanding the cooling mechanisms of hot carriers in ultrathin GaAs layers

Maxime Giteau , Cyprien Drommi , François Challet , Hassanet Sodabanlu , Hamidreza Esmaielpour , et al.
Physics, Simulation, and Photonic Engineering of Photovoltaic Devices X, Mar 2021, Online Only, United States. pp.22, ⟨10.1117/12.2578992⟩
Communication dans un congrès hal-03223067v1

Etude par techniques IBA de la sorption en oxygène et hydrogène de matériaux Getter à base d’yttrium

Charlotte Kutyla , Clément Bessouet , Sylvain Lemettre , Alain Bosseboeuf , Philippe Coste , et al.
Ion Beam Applications Francophone (IBAF 2022), Oct 2022, Jussieu, France
Poster de conférence hal-04365002v1

Anisotropic mobility in AlGaN/GaN heterostructure with thin GaN on AlN/Sapphire template

Julien Bassaler , Rémi Comyn , C. Bougerol , Yvon Cordier , F Medjdoub , et al.
WOCSDICE EXMATEC 2022, May 2022, Ponta Delgada, Portugal
Communication dans un congrès hal-03681788v1
Image document

Embracing the unreliability of memory devices for neuromorphic computing

Marc Bocquet , Tifenn Hirtzlin , Jacques-Olivier Klein , Etienne Nowak , Elisa Vianello , et al.
IRPS 2020 - IEEE International Reliability Physics Symposium, IEEE, Apr 2020, Dallas (Texas), United States. pp.1-5, ⟨10.1109/IRPS45951.2020.9128346⟩
Communication dans un congrès hal-04019222v1

Activation and gas sorption of Y-based getter films for wafer-level vacuum packaging of microsensors

Charlotte Kutyla , Clément Bessouet , Sylvain Lemettre , Laetitia Leroy , Philippe Coste , et al.
Journées Nationales des Technologies Emergentes (JNTE), Nov 2022, Besançon, France
Communication dans un congrès hal-04365009v1
Image document

Experimental Demonstration of Multilevel Resistive Random Access Memory Programming for up to Two Months Stable Neural Networks Inference Accuracy

Eduardo Esmanhotto , Tifenn Hirtzlin , Djohan Bonnet , Niccolo Castellani , Jean-Michel Portal , et al.
Advanced Intelligent Systems, 2022, ⟨10.1002/aisy.202200145⟩
Article dans une revue hal-03861116v1
Image document

Voltage-dependent synaptic plasticity: Unsupervised probabilistic Hebbian plasticity rule based on neurons membrane potential

Nikhil Garg , Ismael Balafrej , Terrence Stewart , Jean-Michel Portal , Marc Bocquet , et al.
Frontiers in Neuroscience, 2022, 16, 983950, 12 p. ⟨10.3389/fnins.2022.983950⟩
Article dans une revue hal-03834905v1

Investigating UV-Induced Polymerization of Pre-Assembled Supramolecular Layers on Ionic Crystal Substrates

Franck Para , Laurent Nony , Sylvain Clair , Christian Loppacher , Fanny Lotthammer , et al.
International Conference on Non-Contact Atomic Force Microscopy, Aug 2022, Njimegen, Netherlands
Communication dans un congrès hal-03813117v1

Hydrogen annealing and analysis in yttrium and titanium getter films

Charlotte Kutyla , Clément Bessouet , Sylvain Lemettre , Alain Bosseboeuf , Philippe Coste , et al.
International Vacuum Conference (IVC 2022), Sep 2022, Sapporo, Japan
Communication dans un congrès hal-04505105v1
Image document

Binary ReRAM-based BNN first-layer implementation

Mona Ezzadeen , Atreya Majumdar , Sigrid Thomas , Jean-Philippe Noël , Bastien Giraud , et al.
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), Apr 2023, Antwerp, Belgium. pp.1-6, ⟨10.23919/DATE56975.2023.10137057⟩
Communication dans un congrès hal-04270562v1
Image document

High Al-content AlGaN channel high electron mobility transistors on silicon substrate

Jash Mehta , Idriss Abid , Julien Bassaler , Julien Pernot , Philippe Ferrandis , et al.
e-Prime – Advances in Electrical Engineering, Electronics and Energy, 2023, 3, pp.100114. ⟨10.1016/j.prime.2023.100114⟩
Article dans une revue hal-03952273v1
Image document

Low-Overhead Implementation of Binarized Neural Networks Employing Robust 2T2R Resistive RAM Bridges

M. Ezzadeen , A. Majumdar , Marc Bocquet , B. Giraud , J.-P. Noel , et al.
ESSCIRC 2021 - IEEE 47th European Solid State Circuits Conference (ESSCIRC), Sep 2021, Grenoble, France. pp.83-86, ⟨10.1109/ESSCIRC53450.2021.9567742⟩
Communication dans un congrès hal-03597353v1